半导体设备:光刻机生产技术壁垒及未来突破路线
2023-11-07 18:54
发布于:广东省
导 读
光刻机是半导体制造过程中价值量和技术壁垒最高的设备之一。
一个指甲大小的芯片可以由上百亿个晶体管组成,制造工艺的难度和精细度要求极高。芯片制造过程是多层叠加的,上百亿只晶体管由金属线条连接起来,实现了芯片的功能。半导体设备是芯片制造的核心包括晶圆制造和封装测试等,其中光刻工艺是半导体制造的重要步骤之一,其成本约为整个硅片制造工艺的 1/3,耗时约占整个硅片工艺的 40~60%。光刻是半导体制造的重要步骤之一,实质为光源通过掩膜版将其附有的临时电路结构转移到硅片表面的光敏薄膜上,再通过一系列处理形成特定的电路结构。在芯片制造过程中,光刻机是决定制程工艺的关键设备,光刻机分辨率就越高,制程工艺越先进。
技术发展:自 20 世纪 60 年代推出光刻机以来,光刻技术经历了接触/接近式光刻、光学投影光刻、步进重复光刻、扫描光刻、浸没式光刻到 EUV光刻的发展历程。光刻设备的系统越来越复杂,范畴也不断拓展。此外,未来技术来看,无掩模光刻及 NIL 压印或为替代路径,但技术发展仍存在较高不确定性。
技术壁垒:光刻分辨率决定工艺区间,多重曝光技术拓展工艺边界。光刻工艺的关键指标为光刻分辨率(CD)、套刻精度(Overlay)和产能,决定了产品的定位和应用场景。回顾中国半导体设备的发展历史,“起步早、门类全、发展曲折”,目前和国际先进水平还有 2 代(ArFi、EUV 技术)的差距,国内厂商正在努力追赶。我们认为,国内的先进光刻技术的发展有两条可以路线同时进行:一条是迭代浸没式 DUV 光刻机,实现多重曝光功能,另一条是长期布局 EUV光刻技术。
1 什么是光刻机
1.1 芯片制造:精细度要求高,光刻机是决定制程工艺的关键设备
一个指甲大小的芯片可以由上百亿个晶体管组成,制造工艺的难度和精细度要求极高。这里我们以麒麟 990 为例,是华为于 2019 年推出的 5G 智能手机芯片,采用台积电第二代 7nm(EUV)工艺制造,面积为 113 平方毫米(约 1 厘米见方,小手指甲大小)。芯片制造厂采用的 12 英寸硅片的面积为 70659 平方毫米,一个硅片大约可以生产 500 颗麒麟990 芯片(按照面积算能切约 700 颗,但是需要考虑边角料和良率的影响)。
半导体制造过程是多层叠加的,上百亿只晶体管由纵横而不交错的金属线条连接起来,实现了芯片的功能。一颗 990 芯片上面集成了约 103 亿只晶体管,其中一只晶体管在芯片中仅占头发丝横切面百分之一不到的面积,但它却是由复杂的电路结构组成。芯片制造完成后,硅片上的上百亿只晶体管由纵横而不交错的金属线条连接起来,实现了芯片的功能。
芯片制造就是按照芯片布图,在硅晶圆上逐层制做材料介质层的过程,工艺的发展带动材料介质层的层数增加。芯片是由多层进行叠加制造的,芯片布图上的每一层案,制造过程会在硅晶圆上做出一层由半导体材料或介质构成的图形。图形层也称作材料介质层,例如 P 型衬底层、N 型扩散区层、氧化膜绝缘层、多晶硅层、金属连线层等。芯片布图有多少层,制造完成后的硅晶圆上基本就有多少材料介质层。随着工艺的发展,材料介质层的层数逐渐增加。材料介质层在硅晶圆上叠加在一起,就形成了整个芯片,乃至整个硅晶圆上所有的电路元器件。它们主要包括晶体管(三极管)、存储单元、二极管、电阻、连线、引脚等。
半导体制造环节及设备:半导体设备是芯片制造的核心,包括晶圆制造和封装测试等环节。应用于集成电路领域的设备通常可分为前道工艺设备(晶圆制造)和后道工艺设备(封装测试)。其中,所涉及的设备主要包括氧化/扩散设备、光刻设备、刻蚀设备、清洗设备、离子注入设备、薄膜沉积设备、机械抛光设备以及先进封装设备等。三大核心主设备——光刻机、刻蚀设备、薄膜沉积设备,占据晶圆制造产线设备总投资额超 70%。
光刻机是决定制程工艺的关键设备,光刻机分辨率就越高,制程工艺越先进。为了追求芯片更快的处理速度和更优的能效,需要缩短晶体管内部导电沟道的长度。根据摩尔定律,制程节点以约 0.7 倍(1/√2)递减逼近物理极限。沟道长度即为制程节点,如 FET 的栅线条的宽度,它代表了光刻工艺所能实现的最小尺寸,整个器件没有比它更小的尺寸,又叫 Feature Size。光刻设备的分辨率决定了 IC 的最小线宽,光刻机分辨率就越高,制程工艺越先进。因此,光刻机的升级势必要往最小分辨率水平发展。
光刻工艺为半导体制造过程中价值量、技术壁垒和时间占比最高的部分之一,是半导体制造的基石。光刻工艺是半导体制造的重要步骤之一,成本约为整个硅片制造工艺的 1/3,耗费时间约占整个硅片工艺的 40~60%。光刻实质为光源通过掩膜版将其附有的临时电路结构转移到硅片表面的光敏薄膜上,再通过一系列处理形成特定的电路结构。光刻工艺包括硅片表面清洗烘干、涂底、旋涂光刻胶、软烘、对准曝光、后烘、显影、硬烘、刻蚀、检测等步骤,相关设备包括光刻机、涂胶显影设(Track)、清洗设备、量检测设备等,其中实现对准曝光的光刻机是光刻工序的核心设备。
1.2 工作原理:类似相机,通过光线透传在晶圆表面成像,刻出超精细图案
光刻设备是一种投影曝光系统,其主要由光源(Source)、光罩(Reticle)、聚光镜(Optics)和晶圆(Wafer)四大模组组成。在光刻工艺中,设备会从光源投射光束,穿过印着图案的光掩膜版及光学镜片,将线路图曝光在带有光感涂层的硅晶圆上;之后通过蚀刻曝光或未受曝光的部份来形成沟槽,然后再进行沉积、蚀刻、掺杂,构造出不同材质的线路。此工艺过程被一再重复,将数十亿计的 MOSFET 或其他晶体管建构在硅晶圆上,形成一般所称的集成电路或芯片。
——在技术方面,光刻机直接决定光刻工艺所使用的光源类型和光路的控制水平,进而决定光刻工艺的水平,最终体现为产出芯片的制程和性能水平;同时在中高端工艺中涂胶机、显影机(Track)一般需与光刻机联机作业,因此光刻机是光刻工艺的核心设备。
——在产业方面,光刻机直接决定晶圆制造产线的技术水平,同时在设备中是价值量和技术壁垒最高的设备之一,对晶圆制造影响颇深。综合来看,光刻设备堪称半导制造的基石。
工作原理:光刻机类似胶片照相机,通过光线透传将电路图形在晶圆表面成像,光刻机精度和光源波长呈负相关。我们对比相机和光刻机工作原理:1)相机原理:被摄物体被光线照射所反射的光线,透过相机的镜头,将影像投射并聚焦在相机的底片(感光元件)上,如此便可把被摄物体的影像复制到底片上。2)光刻原理:也被称为微影制程,原理是将光源(Source)射出的高能镭射光穿过光罩(Reticle),将光罩上的电路图形透过聚光镜(projection lens),将影像缩小 1/16 后成像(影像复制)在预涂光阻层的晶圆(wafer)上。对比相机和光刻机,被拍摄的物体就等同于微影制程中的光罩,聚光镜就是单反镜头,而底片(感光元件)就是预涂光阻层的晶圆。由于集成电路图像分辨率和光刻机光源的波长呈负相关关系,波长越短、图像分辨率越高,相对应地光刻机的精度更高。
光刻工序:实质是 IC 芯片制造的图形转移技术(Pattern transfer technology),把掩膜版上的芯片设计图形转移到晶圆表面抗蚀剂膜上,最后再把晶圆表面抗蚀剂图形转移到晶圆上。典型光刻工艺流程包括 8 个步骤,依次为底膜准备、涂胶、软烘、对准曝光、曝光后烘、显影、坚膜、显影检测,后续处理工艺包括刻蚀、清洗等步骤。
(1)晶圆首先经过清洗,然后在表面均匀涂覆光刻胶,通过软烘强化光刻胶的粘附性、均匀性等属性;
(2)随后光源透过掩膜版与光刻胶中的光敏物质发生反应,从而实现图形转移,经曝光后烘处理后,使用显影液与光刻胶可溶解部分反应,从而使光刻结果可视化;坚则通过去除杂质、溶液,强化光刻胶属性以为后续刻蚀等环节做好准备;
(3)最后通过显影检测确认电路图形是否符合要求,合格的晶圆进入刻蚀等环节,不合格的晶片则视情况返工或报废,值得注意的是,在半导体制造中,绝大多数工艺是不可逆的,而光刻恰为极少数可以返工的工序。
多次工艺:光刻机并不是只刻一次,对于芯片制造过程中每个掩模层都需要用到光刻工序,因此需要使用多次光刻工艺。电路设计就是通常所说的集成电路设计(芯片设计),电路设计的结果是芯片布图(Layout)。芯片布图在制造准备过程中被分离成多个掩膜图案,并制成一套含有几十~上百层的掩膜版。芯片制造厂商按照工艺顺序安排,逐层把掩膜版上的图案制作在硅片上,形成了一个立体的晶体管。假设一个芯片布图拆分为 n 层光刻掩膜版,硅片上的电路制造流程各项工序就要循环 n 次。根据芯论语微信公众号,在一个典型的 130nm CMOS 集成电路制造过程中,有 4 个金属层,有超过 30 个掩模层,使用 474 个处理步骤,其中 212 个步骤与光刻曝光有关,105 个步骤与使用抗蚀剂图像的图案转移有关。对于 7nm CMOS 工艺,8 个工艺节点之后,掩模层的数量更大,所需要的光刻工序更多。
2 光刻机的发展
2.1 技术路径:曝光波长缩短至 13.5nm,对应工艺节点降低至 7nm 及以下
工艺节点不断缩小至 7nm 及以下,曝光波长逐渐缩短至 13.5nm,光刻技术逐步完善成熟。光刻机最早源于半导体光刻工艺,是通过人工或者自动对准和曝光的操作,把光刻掩模版上的半导体器件或者 IC 的版图转移到基片表面光致抗蚀剂上的曝光设备,故也称为掩模对准曝光机(Mask aligner 或者 mask alignment system)。光刻技术经历了接触/接近式光刻、光学投影光刻、步进重复光刻、扫描光刻、浸没式光刻到 EUV 光刻的发展历程。
1、按照有无掩膜:光刻机可分为有掩膜光刻机和无掩模光刻机,有掩膜光刻机目前为产业广泛应用,历经 5 代已发展至 EUV 光刻机。
(1)无掩模光刻:又称直写光刻机,分为电子束直写光刻、激光直写光刻、离子束直写光刻,灵活性高但生产效率较低,一般用于集成电路器件原型的研制验证制作、刻掩膜板的制作等。
(2)有掩膜光刻机:使用预先定制的掩膜板转移目标电路,分为接触式光刻机、接近式光刻机和投影式光刻机,因其具有更高的精度和生产效率在产业中被广泛应用,一般产业中论及光刻机即指有掩膜光刻机。由于光源是光刻机最核心的组成部分,直接决定光刻机工艺层级,因此一般按照光源波长对光刻机进行分类,目前光刻机历经 5 代已发展至EUV 光刻机。
2、按照曝光方式划分:光刻机可以分为接触式曝光、接近式曝光和投影式曝光,操作方式分为手动、半自动和自动。目前主流产品是投影式光刻机。
1)接触式光刻机,掩膜版直接与光刻胶层接触,是最简单、经济的光刻设备。出现于 20 世纪 60 年代,为小规模集成电路(SSI)时代的主要光刻手段,目前仍应用于小批量产品制造和实验室研究,主要用于 5µm 以上工艺,其缺点为掩膜板使用寿命较短同时良品率较低。其工作原理为近场菲涅尔衍射(Fresnel Diffraction)成像,其分辨率可以达到亚微米级,掩模版上的图形与曝光在衬底上的图形在尺寸上基本是 1:1 的关系,即掩模版与衬底的尺寸一样大,可以一次曝光整个衬底。在接触/接近式光刻机中,掩模版与衬底表面的光刻胶直接接触,减小了光的衍射效应,但在接触过程中衬底与掩模版之间的摩擦会在二者表面形成划痕,与此同时很容易产生颗粒沾污。这会降低衬底成品率以及掩模版的使用寿命,故接近式光刻技术得以引入。
2)接近式光刻机,于 20 世纪 70 年代被广泛应用,与接触式光刻相比,接近式光刻中的掩模版与衬底上的光刻胶并未直接接触,而是掩膜版与光刻胶留有被氮气填充的间隙(0-200µm)。掩模版浮在氮气之上,减少了电路缺陷和掩膜版损伤。在接近式光刻中,最小分辨尺寸与间隙成正比,间隙越小,最小分辨尺寸越小,也即分辨率越高。一般来说,衬底的平整度在 1~2μm,要使掩模版悬空在衬底上方而不碰到衬底,掩模版与衬底的最小间隙需控制在 2~3μm,这使得接近式曝光机的空间分辨率极限约为 2μm。
3)投影式光刻机,自 20 世纪 70 年代中后期开始替代接触/接近式光刻,基于远场傅里叶光学成像原理,在掩模版和光刻胶之间采用了具有缩小倍率的投影成像物镜,突破了衍射限制(接触/接近式光刻机原理均为菲涅尔衍射),同时增加了掩膜版的使用寿命,可以有效提高分辨率。是目前主流的光刻机形态。
3、按照迭代次序:投影式光刻机可分为步进重复投影式光刻机、步进扫描投影式光刻机、浸没式步进扫描投影式光刻机、EUV 一体式光刻机,目前均在产线中使用。早期,投影光刻技术中掩模版与衬底图形尺寸比例为 1:1,然而随着集成电路特征尺寸的不断缩小以及衬底尺寸的增大,缩小倍率的步进重复光刻技术问世,替代了图形比例为 1:1 的扫描光刻方式。
1)步进重复投影式光刻机:利用 22mmx22mm 的典型静态曝光视场(FOV)和缩小比为 5:1 或 4:1 的光学投影物镜,将掩模版上的图形缩小转印到衬底上。在光刻过中,掩模版固定不动,衬底晶圆步进运动,以完成一片晶圆全部曝光工作。目前步进重复光刻主要应用于 0.25μm 以上工艺,以及先进封装领域,其优点为生产效率高、结构简单。
2)步进扫描投影式光刻机:单场曝光采用动态扫描方式,即掩模板相对衬底晶圆同步完成扫描运动;完成当前曝光后,晶圆由工作台承载步进至下一步扫描场位置,继进行重复曝光;重复步进并扫描曝光多次直至整个晶圆所有场曝光完毕。步进扫描光刻的投影物镜倍率通常为 4:1,即掩模板图形尺寸为晶圆图形尺寸的四倍,掩模台扫描速度也为工作台的 4 倍。采用动态扫描曝光,视场更大,同时曝光精度、强度、均匀性更好。通过配置不同种类的光源(如 i 线、KrF、ArF),步进扫描光刻或者基于步进扫描光刻改进的光刻技术可支撑半导体前道工艺所有的技术节点。对于典型的硅基底 CMOS 工艺,从 0.18μm 节点开始便大量采用步进扫描光刻;目前在 7nm 以下工艺节点使用的极紫外光刻机(EUV)也采用步进扫描方式。
3)浸没式光刻机:采用 ArF 的光源的扫描光刻机的极限就在于 65nm 的线宽,小于65nm 的线宽,光射无法从物镜中出来,即使再增大物镜直径也是徒劳。由于水的折射率和玻璃接近(在 193nm 波长的雷射中,折射率空气=1,水=1.44,玻璃约为1.5),从投影物镜射出的光进入水介质后,折射角较小,由此折射光就可以正常从物镜中折射出来。目前主流采用的纯净水的折射率为 1.44,所以 ArF 光源加浸润技术实际等效的波长为193nm/1.44=134nm。采用 ArF 的光源浸润式光刻的最小分辨率可以达到 38nm;为了实现更小工艺线宽的要求,通过采用多重图形技术(多重曝光),可使光刻水平进一步提高,可支撑 7nm 节点工艺。
4)EUV 一体式光刻机:采用了全反射投影成像系统,用于支持 EUV 极短光源。其数值孔径为 0.33、光源波长为 13.5nm、扫描视场为 26mm×33mm、光学分辨率可以达到13nm 半周期,台积电已使用该设备实现了 5nm 逻辑芯片的量产。未来,对于更先进的节点,ASML 计划 2024 量产高数值孔径(NA=0.55)极紫外光刻技术,其分辨率为 8nm 半周期,可以更快更好地曝光更复杂的集成电路图案,同时密度增加 2.9 倍,全面支持 3nm以下乃至埃米级工艺节点。台积电于 2022 年 6 月份的技术论坛上表示,新一代 High NA光刻设备将于 2024 年用于生产纳米片晶体管(GAAFET)架构的 2nm(N2)芯片,预计在 2025 年量产。
4、根据所用光源分类:光刻机经历了 5 代产品发展,每次改进和创新都显著提升了光刻机所能实现的最小工艺节点。光刻设备光源的波长不断缩短,由原来的 e 线、g 线、h 线、i 线发展到深紫外、准分子激光、极紫外,X 射线以及各种粒子束光源,如电子束、离子束。光刻设备的系统越来越复杂,光刻设备的范畴也不断拓展。
1)第一代为 g 线型,属于可见光源,最初为接触接近式光刻机,使用光源为 436nm的 g-line,对应 800-250nm 工艺。
2)第二代为 i 线型,属于紫外光源(UV),最初为接触接近式光刻机,使用光源为365nm 的 i-line,对应 800-250nm 工艺。
3)第三代为 KrF 型,属于深紫外光源(DUV),初代为扫描投影式光刻机,采用 248nm的 KrF 光源,对应 180-130nm 工艺。
4)第四代为 ArF 型,属于深紫外光源(DUV),采用 193nm 的 ArF 光源,分为步进扫描投影式光刻机(干式)和浸没式步进扫描投影式光刻机(湿式),分别对应130-65nm和 45-7nm 工艺(38nm 以下开始使用多重曝光工艺)。
5)第五代为 EUV 型(极紫外),为步进扫描投影式光刻机,采用 13.5nm 的 EUV 光源,对应 7-3nm 工艺。
5、按照光源发生器划分:光刻机的光源通常使用汞灯或激光器。最早的光刻机光源即为汞灯产生的紫外光源(UV);之后行业内采用准分子激光的深紫外光源(DUV),将波长进一步缩小到 ArF 的 193 nm;为了提供波长更短的光源,采用激发形式的极紫外光源(EUV)为业界采用,目前主要采用的办法是将二氧化碳激光照射在锡等靶材上,激发出 13.5 nm 的光子作为光刻机光源。
1)高压汞灯:即高压弧光灯,最早的光刻机光源为汞灯产生的紫外光源(UV),高压汞灯有许多尖锐的光谱线,经过滤光后使用其中的 g 线(436 nm)或 i 线(365 nm),其功率最早为 1kW,后续发展至 5kW。其特征尺寸在微米级别,可以满足0.8-0.35 微米制程芯片的生产。
2)准分子激光器(laser):主要用于产生深紫外光源,包括 KrF 准分子激光(248nm)、ArF 准分子激光(193 nm)和 F2 准分子激光(157 nm),其中 F2 光源未被产业化应用,最高功率可达 500kw。随着半导体集成度提升不断提高对 193nm ArF 准分子激光器技术水平也提出更高的要求,目前全球有能力供应高端光刻机所需的准分子光刻光源激光器的厂商有两家,美国的 Cymer 公司和日本的 Gigaphoton 公司。为了同时保证谱线宽度和功率的要求,目前先进的光刻机的准分子激光光源都是采用振荡-放大技术的双腔结构。光谱带宽衡量指标 E95 的大小及稳定性是激光的一个重要参数,目前输出激光的 E95 都控制在小于 0.35pm,脉冲重复频率可以达到 6000Hz,激光输出功率可以达到 120W。可以满足 180-7nm 制程芯片的生产。
3)激发光源:主要用于生产极紫外光源(13.5nm),采用激光致等离子体(LPP)技术,通过将高功率二氧化碳激光脉冲照射在直径为 30 微米的锡滴液靶材上发光,激发出高功率的 13.5 nm 的等离子体,作为光刻机的光源,目前只有 ASML 掌握此项技术。EUV光刻机输出功率约 250W,不过其光源转化效率仅 0.02%,故实际功率高达 1250kw,使得开发成本和使用成本大幅上升。目前可以满足 7-3nm 制程芯片的生产。
2.2 应用需求:芯片生产中各种光刻机均有需求,各代光刻机并非绝对的升级和替代关系
不同光源光刻机覆盖不同制程区间,各代光刻机并非绝对的升级和替代关系。从光源的角度,虽然更高光刻精度的光刻机可以对制程工艺向下兼容,但并非最具经济效益的方案,因此目前各种光源的光刻机均广泛应用于产业,支持不同制程区间的光刻工艺。在38nm 及以上工艺中,光刻分辨率与工艺节点直接对应,在 38nm 以下工艺中,一方面由于开始使用多重曝光技术,另一方面各 Fab 厂制造工艺命名开始基于不同工艺指标,因此为区间对应,但通常满足 CD=MMP/2(MMP 为最小金属间距(Minimum Metal Pitch));从曝光方式角度,传统的接近式和步进重复式在大规模产业化应用上已基本被淘汰,目前主流方案为(浸没式)步进扫描投影。
集成电路制造是依靠平面工艺一层一层制备起来的,不同层对于光刻机的产品需求也不同。对于逻辑器件,前沿逻辑芯片的制造可以细分为三个独立的部分:前道工序(FEOL)、中间工序(MOL)和后道工序(BEOL)。各个工序由于加工精度的要求不同,对于光刻机的产品需求也不同。1)用于集成电路关键层光刻工艺,28nm 以上节点制造采用的是193nm波长干式 DUV 光刻机,28nm-10nm 节点采用 193nm 波长浸没式 DUV 光刻机;7nm 及以下集成电路制造中,关键层光刻工艺则需要采用 EUV 光刻机;下一代产品 high-NA EUV光刻机目前正在研发当中,ASML 预计未来 1-2 年有可能被开发出来,其可以支持 5nm、3nm 及以下的工艺制造。2)非关键层使用的是 248nm 波长 DUV 光刻机和 I-line 光刻机(365nm 波长)。
(1)前道(front end of line,FEOL)工艺:为了在 Si 衬底上实现 N 型和 P 型场效应晶体管,涵盖芯片有源部分的加工,即位于芯片底部的晶体管。首先是在 Si 衬底上划分制备晶体管的区域(activearea),然后是离子注入实现 N 型和 P 型区域,其次是做栅极,随后又是离子注入,完成每一个晶体管的源极(source)和漏极(drain)。前道(FEOL)中的关键光刻层是 FIN 和栅极(gate),芯片的制程节点的数值一般指 MOS 管栅极的最小长度(gatelength),即最高端光刻机。
(2)后道(back end of line,BEOL)工艺:是加工的最后阶段,指的是位于芯片顶部的互连。实际上就是建立若干层的导电金属线,不同层金属线之间由柱状金属相连。互连是复杂的布线方案,BEOL 由不同的金属层、局部(Mx)、中间线、半全局线和全局线组成。总层数可以多达 15 层,而 Mx 层的典型数量在 3~6 层之间。这些层中的每层都包含(单向)金属线(组织在规则的轨道中)和介电材料。它们通过填充有金属的通孔结构垂直互连。后道的关键光刻层是 V0/M1/V1/M2,其中 V0/V1 是通孔层,M1/M2 是金属层,一般使用成熟制程节点即能满足需求。
(3)FEOL 和 BEOL 由 MOL 联系在一起。MOL 通常由微小的金属结构组成,作为晶体管的源极、漏极和栅极的触点。这些结构连接到 BEOL 的局部互连层。虽然单元尺寸在微缩,但要连接到的引脚数量大致不变,意味着接触它们的难度更大。
不同层对于光刻机的要求有不同,因此晶圆厂采购光刻机的种类和数量有所调整。根据北京集电控股有限公司发布的《集成电路示范线项目(一期)建设项目环境影响报告表》,公司计划投资 202.3 亿元,利用原北京屹唐集成电路科技有限公司已建生产厂房,实施“集成电路示范线项目(一期)”,项目建成后,可形成 2 万片/月(24 万片/年)12 英寸 DRAM 的生产能力。其中计划采购光刻机 23 台,全部采购自荷兰 ASML,其中 ArFi 设备计划采购 6 台;ArF 设备计划采购 3 台;KrF 设备计划采购 10 台;i-line 设备计划采购 4 台。因此产线建设中,各种类型的光刻机都有需求。
我们根据上文北京集电的环评报告,对其芯片生产过程中光刻机的分层使用情况进行测算,先进制程产线依然对传统机型有较高需求。根据北京集电报告信息,该芯片共使用了 ArFi、ArF、KrF、i-line 四种光刻机,分别对应芯片层、下层、中层和上层,采购数量和最高吞吐量采用官方数据,以下为假设参数:实际生产乘数表示实际生产过程中对最高产能的发挥程度,低阶机型需配合高阶机型作业,因此利用率由低至高逐渐提升,假定为50%-90%;芯片层制造采用多重曝光技术,会产生较大比例的产能折损,假定为 10%;每月假定工作 25 天,每日假定工作 12 小时,良品率假定为 80%。
根据以上信息,可以测算出不同层的月层产量,对比该项目 2 万片/月的月产能,可估算出生产层数,其中使用 ArFi 光刻机的核心芯片层为单层,下层使用 ArF 光刻机生产 5层,中层使用 KrF 光刻机生产 20 层,上层使用 i-line 光刻机生产 5 层,由此可见,先进制程产线依然对传统机型有较高需求。
3 光刻机难在哪?
3.1 关键指标:光刻分辨率、套刻精度和产能,工艺节点发展带来需求升级
光刻机的精密技术分为三大部分:精密光学(光源、路径)、精密运动控制(自动调焦/调平、掩膜版的输送、自动对准)、精密的环境控制(温控、湿控、真空、减振系统等)。光刻工艺的关键指标为光刻分辨率(CD)、套刻精度和产能,决定了产品的定位和应用场景。其中:
1)光刻分辨率:与光源波长、工艺系数因子和数值孔径有关,光刻分辨率表示将硅片上两个邻近的特征图形区分开来的能力,即可以清晰投影最小图像的能力,一般光刻分辨率为 MMP 的一半,是决定光刻工艺水平的最关键指标,光刻分辨率满足基本公式CD=K1*λ/NA(投影式光刻),λ 为光源波长,K1 为工艺系数因子,NA 为投影光刻物镜数值孔径,由此提高光刻分辨率需要缩短光源波长、降低工艺系数因子和提高物镜数值孔径。
在现代光刻工艺中,主要由光源波长决定光刻分辨率区间,数值孔径决定光刻机能达到的最高工艺节点。根据衍射成像原理该系数的理论极限值为 0.25,目前 ASML 的DUV光刻机最高 K1 已经达到约 0.25,而 EUV 光刻机则约为 0.35;数值孔径则与光源波长及光谱带宽、成像视场、光学设计和光学加工水平等因素有关,目前非浸没式 DUV 光刻机数值孔径的上限一般为 1.0,浸没式 DUV 光刻机则一般为 1.35,目前 EUV 光刻机数值孔径为 0.33。ASML 公司 CEO Peter Wennink 宣布 2023 年有望推出业界首款具有 0.55 数值孔径(NA)的极紫外(EUV)光刻机,该款光刻机分辨率约为 8nm,型号名为 Twinscan EXE:5200,计划在 2025 年用于芯片量产。
2)套刻精度(Overlay):影响光刻工艺的良率以及多重曝光工艺的水平,指前后两道光刻工序之间图形成像的对准精度,在中高阶光刻工艺中,晶圆需经过多次对不同区域的曝光形成最终的特定电路,如果对准的偏差过大,就会直接影响产品的良率,一般需要套刻精度小于等于光刻 CD 值的 1/3;同时使用多个掩膜板叠加曝光图形的多重曝光工艺可以实现对更高制程工艺的支持,但由于需要精准对齐曝光图案,套刻精度一般须达到目标光刻 CD 值的 1/10 以上。
随着光刻机技术水平的提高,线宽的特征尺寸减小到纳米级时,对光刻机对准系统提出了更高对准精度的性能指标。高阶光刻机供应商一般会就套刻精度提供两个数值,一种是单机自身的两次套刻误差,另一种是两台设备(不同设备)间的套刻误差。套刻精度主要与工作台和掩模台的定位精度、光学对准精度、同步扫描精度等因素有关,定位精度、对准精度和同步扫描精度分别约为套刻精度的 1/5-1/3。
3)产能:是光刻机实现产业化的必要条件。提升光刻机的生产效率与诸多因素相关,需要优化光源强度、重复频率、曝光能量控制、同步扫描方式等技术环节,同时需要减少换片、步进和光学对准等环节的时间,其中稳定可靠的光源系统是提升光刻机产能的基础。目前光刻机的产能一般为 100-300wph。
4)步进精度:步进扫描光刻机的单场曝光采用动态扫描方式,即掩模版相对圆片同步完成扫描运动;完成当前场曝光后,圆片由工件台承载步进至下一扫描场位置,继续进行重复曝光;重复步进并扫描曝光多次直至整个圆片所有场曝光完毕。步进扫描光刻机需要时刻保持掩模台相对工件台的高速、高精度同步运动。为满足高产出率与高成品率的量产需要,通常要求运动台具备较高的速度和加速度,以及超高相对运动控制精度。以浸没式光刻机为例,其工件台扫描速度高达 80mm/s,对应掩模台速度达到 3.2m/s 同时相对运动控制精度达到 m 量级。步进扫描光刻机需要解决的核心技术包括整机架构动态稳定性控制技术、同步高精度运动控制技术等。
5)工艺节点:工艺节点(nodes)是反映集成电路技术工艺水平最直接的参数。目前主流的节点为 0.35um、0.25um、0.18um、90nm、65nm、40nm、28nm、20nm、16/14nm、10nm、7nm 等。传统上(在 28nm 节点以前),节点的数值一般指 MOS 管栅极的最小长度(gatelength),也有用第二层金属层(M2)走线的最小间距(pitch)作为节点指标的。
节点的尺寸数值基本上和晶体管的长宽成正比关系,每一个节点基本上是前一个节点的 0.7 倍。这样以来,由于 0.7X0.7=0.49,所以每一代工艺节点上晶体管的面积都比上一代小大约一半,也就是说单位面积上的晶体管数量翻了一番。这也是著名的摩尔定律(Moore'sLaw)的基础所在。一般而言,大约 18~24 个月,工艺节点就会发展一代。
3.2 突破路线:远期自研 EUV 带来更高分辨率,中短期 DUV 多重曝光搭建先进制程
未来发展:目前随着技术节点向 90nm、65nm、40nm、28nm、16/14nm、10nm、7nm 和 5nm 等逐渐缩放,无论它是否仍然是前一个节点的固定百分比,都需要提高分辨率和覆盖精度,需要改进如下数据:数值孔径(NA)增加、波长减少、更好的光刻胶、更好的掩膜版、更高精度的步进精度、更高精度的对准、更小的透镜畸变、更好的晶圆平整度等。我们认为,国内的先进光刻技术的发展有两条可以路线同时在进行:一条是迭代浸没式 DUV 光刻机,实现多重曝光功能,另一条是长期布局 EUV 光刻技术。
1、EUV 光源:ASML 典型的沉浸式步进扫描光刻机工作方式,首先是激光器发光,经过矫正、能量控制器、光束成型装置等之后进入光掩膜台,上面放的就是设计公司做好的光掩膜,之后经过物镜投射到曝光台,晶圆上涂抹了光刻胶,具有光敏感性,紫外光就会在晶圆上蚀刻出电路。激光器负责光源产生,而光源对制程工艺是决定性影响的,随着半导体工业节点的不断提升,光刻机缩激光波长也在不断的缩小。现在 DUV 光刻机是目前大量应用的光刻机,波长是 193nm,光源是 ArF(氟化氩)准分子激光器,从 45nm 到10/7nm 工艺都可以使用这种光刻机,但是 7nm 节点已经是 DUV 光刻的极限,所以 Intel、三星和台积电都在 7nm 这个节点引入极紫外光(EUV)光刻技术,而 Global Foundries当年也曾经研究过 7nm EUV 工艺,目前已经放弃。
EUV 的优势之一是减少了芯片处理步骤,而使用 EUV 代替传统的多重曝光技术将大大减少沉积、蚀刻和测量的步骤。193nm 光源 DUV 其实是 2000 年代就开始使用的,然而在更短波长光源技术上卡住了,157nm 波长的光刻技术对比 193nm 波长的进步只有25%,但由于 157nm 的光波会被 193nm 所用的镜片吸收,镜片和光刻胶都要重新研制,再加上当时成本更低的浸入式 193nm 技术已经出来,所以 193nm DUV 光刻一直用到现在。最初的浸入式光刻是在晶圆光刻胶上加 1mm 厚的水,水可以把 193nm 的光波长折射成 134nm,后来不断改进高 NA 镜片、多光照、FinFET、Pitch-split 以及光刻胶等技术,一直用到现在的 7nm/10nm,但这已经是 193nm 光刻机的极限了。
NA 数值一时间不能提升,所以光刻机就选择了改变光源,用 13.5nm 波长的 EUV 取代 193nm 的 DUV 光源,这样也能大幅提升光刻机的分辨率。在现有技术条件上,NA 数值孔径并不容易提升,目前使用的镜片 NA 值是 0.33,ASML 投入 20 亿美元入股卡尔·蔡司公司,双方将合作研发新的 EUV 光刻机。ASML 与蔡司合作研发 NA 0.5 的光学镜片可以用于 2nm 及以下的制程,这是 EUV 光刻机未来进一步提升分辨率的关键,但是我们预计高 NA 的 EUV 光刻机要到 2025-2030 年才能正式推入市场。
2、多重曝光:10nm 节点及以下工艺制造目前较为普遍采用的是 193nm 波长浸没式光刻机+多重曝光(Multiple Patterning,MP)技术,也能实现 10nm 和 7nm 工艺生产。
目前市场上已有多款 EUV 机型开始出货,三星、台积电均在 7nm 工艺中采用 EUV 光刻机。目前业内最先进的是采用波长 13.5nm 极紫外光的第五代 EUV 光刻机,可实现 7nm 工艺制程,但是 EUV 的技术要求极高,单台价值为 1.2 亿欧元。通过使用多个掩膜板进行多次曝光,可以实现对更高制程工艺的支持。然而采用多重曝光会带来两大问题:一是光刻加掩膜的成本上升,而且影响良率,多一次工艺步骤就是多一次良率的降低;二是工艺的循环周期延长,多重曝光不但增加曝光次数,而且增加刻蚀和 CMP 工艺次数。
光刻机可以借由多重曝光技术实现更宽的制程覆盖,已被高端制程工艺广泛应用。通过使用多个掩膜板多次曝光,可以实现对更高制程工艺的支持,如目前主流的 14nm 制程工艺就是通过 DUV 光刻机通过多重曝光实现的,而台积电的第一代和第二代7nm 制程工艺也是通过 DUV 光刻机多重曝光实现的。目前多重曝光主要应用于浸没式 DUV 光刻机,对应 7-32nm 高端工艺,EUV由于本身精度较高且缺少相关实例,尚未应用多重曝光技术。
多重曝光常见工艺为 LELE、LELELE、SADP 和 SAQP,LELE 为依次进行光刻、刻蚀、光刻、刻蚀(LITHO、ETCH、 LITHO、ETCH),LELELE 则多进行一次光刻和刻蚀,SADP为自对准双重曝光,SAQP 为自对准四重曝光,自对准技术相对多重 LE 技术有更好的光刻精度。
在多重曝光技术中,最重要的是套刻精度,多套图形必须非常精确地对准以避免电路错误。另外高对比度非线性光刻胶、合理的掩膜设计亦是该技术实现的关键。多重曝光工艺使得成本激增,随着曝光次数的增加,光刻机的生产效率、良品率、耗电量等都会受到影响,故会带来成本的激增,使得先进制程芯片的产业化效益降低,因此在对芯片性能没有极高要求的领域,使用多重曝光的工艺具有最优的性价比,预计其需求亦保持稳定。
3.3 未来技术:无掩模光刻及 NIL 压印或为替代路径,但技术发展仍存在较高不确定性
下一代光刻机面临诸多挑战,无掩模光刻及 NIL 压印或为潜在替代路径,国产厂商存在弯道追赶机会,但技术发展仍存在较高不确定性。目前 ASML 已确认推出高数值孔径版本的 EUV 光刻机,但由于激增的研发费用和耗电量,其是否会推出更高数值孔径的机型仍存在不确定性。同时研发下一代更短波长的光刻机依然面临诸多挑战,首先是高昂的研发费用和造价,其次是耗电量激增会持续推高晶圆的生产成本,另外还会面临量子极限的问题,由此无掩模光刻及 NIL 压印等其他技术路径逐渐进入行业视野。
(1)无掩模光刻:指计算机控制的高精度光束聚焦投影至涂覆有感光材料的基材表面上,无需掩膜直接进行扫描曝光,分为带电粒子直写光刻(CPML)和激光直写光刻(OML)。无掩模光刻首先可以解决光掩模成本不断飞升的问题,根据 IBS 数据,16/14nm制程中掩膜成本约 500 万美元,7nm 制程中掩膜成本则迅速升至 1500 万美元,成本占比由 1.5%提升至 2.5%,使用无掩模光刻有望优化相关成本结构。更重要的是,对于国内厂商而言,无掩模光刻可以避开 EUV 光刻的技术和专利壁垒。其中电子束光刻为行业主要关注的技术路径,美国纳米技术公司 Zyvex Labs 已公告其已经采用电子束光刻技术,实现 0.7nm 芯片的制造。
不过在高端工艺大规模量产上,无掩模光刻技术仍存在不确定性:带电粒子直写光刻生产效率较低,单个晶圆扫描时间约 10 分钟,显著低于有掩膜光刻(普遍可以达到 2 片/分钟以上),且在大规模生产中会发生较为严重的邻近效应,严重影响图形的分辨率及精度;激光直写光刻技术受限于激光波长,在光刻精度上不及电子束、离子束等带电粒子直写光刻技术,较难应用于高端半导体制造领域。此外,需要开发和处理大数据量(Tb 级)的能力。
(2)纳米压印光刻(NIL):指先在模具上刻上纳米电路图案,再将电路图案压印在晶圆上。压印本质上本质上是一种印刷复制技术,是将模板进行大量复制的技术,压印技术加工技术根据图形尺寸的大小可分为纳米压印技术和模压技术。纳米压印技术是华裔科学家美国普林斯顿大学周郁在 1995 年首先提出的,目前这项技术最先进的程度已经达到5nm 以下的水平。纳米压印技术主要包括热压印(HEL)、极紫外压印(UV-NIL)(包括步进-闪光压印 S-FIL)和微接触印刷(μCP)。
纳米压印过程主要包含三个步骤:图形模板制备,图形复制,图形转移。1)首先在硅或其他衬底上预先附上聚合物涂层(例如聚甲基丙烯酸甲酯、聚苯乙烯、聚碳酸等)作为基体,将已刻有纳米图案的模板通过相应的设备和器具配合,与基体接触并进行精确压印定型;2)之后经过一定的条件(例如时间、压力、温度、光照等)后,将模板与基体分离,这样便能实现图形的复制,使模板表面的纳米结构图案转移到基体表面的聚合物涂层上;3)随后,采用刻蚀技术或剥离技术,将聚合物涂层上的纳米结构图案转移到基体上,实现纳米结构图案的转移。
NIL 有如下特点:1)超高分辨率:没有光学曝光中的衍射现象和电子束曝光中的散射现象;2)高产量:可以像光学曝光那样并行处理,同时制作成成百上千个器件;3)高保真度:几乎无差别的将掩模板上的图形转移到晶圆上;4)低成本:不像光学曝光机那样需要复制的光学系统或像电子束曝光机那样需要复杂的电磁聚焦系统。
——NIL 采用机械复制,可以排除光学衍射的影响,理论上可以实现比光刻更高的分辨率,且成本比 EUV 要低很多(NIL 压印研发成本约为 EUV 光刻的 40%,耗电量约为EUV 光刻的 10%),此外无需先进镜头的支持。目前日本铠侠在该技术上领先,已将 NIL技术应用到了 15nm NAND 闪存器上,并有望在 2025 年推出采用 NIL 技术的 5nm 芯片。铠侠的 NIL 工艺为解决高端芯片自主化生产提供了新的思路。
——不过 NIL 压印在实现高制程芯片制造中依然面临诸多挑战,首先是高精度印压模具的加工,其次对模具与光刻胶接触和脱离的过程控制要求较高,在 10nm 以下复杂结构的制备中实现零偏差的难度较高,因此尚存在诸多技术问题有待突破。
在芯片制造领域,纳米压印光刻更擅长制造 3D NAND、DRAM 等存储芯片。与微处理器等逻辑电路相比,存储制造商具有严格的成本限制,且对缺陷要求放宽,纳米压印光刻技术与之较为契合。但是纳米压印也会有一些问题,包括光刻速度慢、良率低,对准难度高、模板寿命低等。据佳能纳米压印设备未来路线图显示,佳能目前量产的纳米压印设备,能用于生产 15 纳米的芯片,公司预计到 2025 年,能进一步研发出生产 5 纳米芯片的设备,初期将率先导入生产 NAND、DRAM 等,未来还有望导入应用在 PC 和手机中的需要高阶先进制程的逻辑 IC 生产。
责任编辑: